EST_File Track DataType ascii NumFrames 176 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010266 1 0.020532 1 0.030798 1 0.041065 1 0.051331 1 0.061597 1 0.071863 1 0.082129 1 0.092395 1 0.102661 1 0.112927 1 0.123194 1 0.133460 1 0.143726 1 0.153992 1 0.164258 1 0.174524 1 0.184790 1 0.195056 1 0.205323 1 0.215589 1 0.225855 1 0.236121 1 0.246387 1 0.256653 1 0.266919 1 0.277185 1 0.287452 1 0.297718 1 0.307984 1 0.318250 1 0.329625 1 0.341000 1 0.352375 1 0.363750 1 0.372312 1 0.382625 1 0.393375 1 0.404125 1 0.415062 1 0.426062 1 0.437125 1 0.448312 1 0.459812 1 0.471063 1 0.481187 1 0.492006 1 0.502825 1 0.513644 1 0.524463 1 0.535281 1 0.546100 1 0.556919 1 0.567738 1 0.578556 1 0.589375 1 0.597438 1 0.608000 1 0.618750 1 0.629500 1 0.640437 1 0.645500 1 0.651500 1 0.662687 1 0.673875 1 0.678937 1 0.685125 1 0.696563 1 0.701625 1 0.708375 1 0.720937 1 0.730375 1 0.740617 1 0.750859 1 0.761102 1 0.771344 1 0.781586 1 0.791828 1 0.802070 1 0.812312 1 0.818687 1 0.829938 1 0.841750 1 0.853437 1 0.865250 1 0.877062 1 0.888812 1 0.900500 1 0.912125 1 0.923500 1 0.934687 1 0.945938 1 0.956937 1 0.967938 1 0.979062 1 0.989937 1 0.999937 1 1.010027 1 1.020116 1 1.030206 1 1.040295 1 1.050385 1 1.060474 1 1.070563 1 1.080653 1 1.090742 1 1.100832 1 1.110921 1 1.121010 1 1.131100 1 1.141189 1 1.151278 1 1.161368 1 1.171457 1 1.181547 1 1.191636 1 1.201725 1 1.211815 1 1.221904 1 1.231994 1 1.242083 1 1.252172 1 1.262262 1 1.272351 1 1.282441 1 1.292530 1 1.302619 1 1.312709 1 1.322798 1 1.332888 1 1.342977 1 1.353066 1 1.363156 1 1.373245 1 1.383335 1 1.393424 1 1.403513 1 1.413603 1 1.423692 1 1.433782 1 1.443871 1 1.453960 1 1.464050 1 1.474139 1 1.484229 1 1.494318 1 1.504407 1 1.514497 1 1.524586 1 1.534676 1 1.544765 1 1.554855 1 1.564944 1 1.575033 1 1.585123 1 1.595212 1 1.605301 1 1.615391 1 1.625480 1 1.635570 1 1.645659 1 1.655748 1 1.665838 1 1.675927 1 1.686017 1 1.696106 1 1.706195 1 1.716285 1 1.726374 1 1.736464 1 1.746553 1 1.756642 1 1.766732 1 1.776821 1 1.786911 1 1.797000 1