EST_File Track DataType ascii NumFrames 176 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010047 1 0.020095 1 0.030142 1 0.040189 1 0.050236 1 0.060284 1 0.070331 1 0.080378 1 0.090426 1 0.100473 1 0.110520 1 0.120568 1 0.130615 1 0.140662 1 0.150709 1 0.160757 1 0.170804 1 0.180851 1 0.190899 1 0.200946 1 0.210993 1 0.221041 1 0.231088 1 0.241135 1 0.251182 1 0.261230 1 0.271277 1 0.281324 1 0.291372 1 0.301419 1 0.311466 1 0.321514 1 0.331561 1 0.341608 1 0.351655 1 0.361703 1 0.371750 1 0.383750 1 0.390938 1 0.402417 1 0.413896 1 0.425375 1 0.432000 1 0.442187 1 0.452625 1 0.463313 1 0.474313 1 0.485438 1 0.496563 1 0.507500 1 0.518438 1 0.529312 1 0.540125 1 0.551063 1 0.562000 1 0.573000 1 0.583938 1 0.594750 1 0.605563 1 0.616188 1 0.626750 1 0.637312 1 0.647875 1 0.658437 1 0.669062 1 0.679750 1 0.690500 1 0.701125 1 0.712062 1 0.723250 1 0.733826 1 0.744403 1 0.754979 1 0.765556 1 0.776132 1 0.786708 1 0.797285 1 0.807861 1 0.818438 1 0.827187 1 0.837313 1 0.847625 1 0.858000 1 0.868500 1 0.878937 1 0.889437 1 0.899938 1 0.910438 1 0.920812 1 0.931188 1 0.941562 1 0.952062 1 0.962625 1 0.973125 1 0.984125 1 0.994062 1 1.002500 1 1.010125 1 1.024470 1 1.034503 1 1.044536 1 1.054569 1 1.064602 1 1.074635 1 1.084668 1 1.094700 1 1.104733 1 1.114766 1 1.124799 1 1.134832 1 1.144865 1 1.154897 1 1.164930 1 1.174963 1 1.184996 1 1.195029 1 1.205062 1 1.215095 1 1.225127 1 1.235160 1 1.245193 1 1.255226 1 1.265259 1 1.275292 1 1.285325 1 1.295357 1 1.305390 1 1.315423 1 1.325456 1 1.335489 1 1.345522 1 1.355555 1 1.365587 1 1.375620 1 1.385653 1 1.395686 1 1.405719 1 1.415752 1 1.425784 1 1.435817 1 1.445850 1 1.455883 1 1.465916 1 1.475949 1 1.485982 1 1.496014 1 1.506047 1 1.516080 1 1.526113 1 1.536146 1 1.546179 1 1.556212 1 1.566244 1 1.576277 1 1.586310 1 1.596343 1 1.606376 1 1.616409 1 1.626442 1 1.636474 1 1.646507 1 1.656540 1 1.666573 1 1.676606 1 1.686639 1 1.696671 1 1.706704 1 1.716737 1 1.726770 1 1.736803 1 1.746836 1 1.756869 1 1.766901 1 1.776934 1 1.786967 1 1.797000 1