EST_File Track DataType ascii NumFrames 176 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010074 1 0.020148 1 0.030222 1 0.040295 1 0.050369 1 0.060443 1 0.070517 1 0.080591 1 0.090665 1 0.100739 1 0.110813 1 0.121328 1 0.131844 1 0.142359 1 0.152875 1 0.160750 1 0.171250 1 0.182000 1 0.192937 1 0.204437 1 0.216063 1 0.227625 1 0.239312 1 0.249742 1 0.260172 1 0.270602 1 0.281031 1 0.291461 1 0.301891 1 0.312320 1 0.322750 1 0.330187 1 0.335750 1 0.347875 1 0.355687 1 0.365500 1 0.375750 1 0.386250 1 0.397000 1 0.407937 1 0.419062 1 0.430125 1 0.441312 1 0.452437 1 0.463625 1 0.474875 1 0.486062 1 0.497187 1 0.508250 1 0.519375 1 0.530500 1 0.541625 1 0.552688 1 0.563875 1 0.574938 1 0.586000 1 0.596937 1 0.607875 1 0.619000 1 0.630063 1 0.641250 1 0.652500 1 0.663750 1 0.674875 1 0.685938 1 0.697062 1 0.708063 1 0.719187 1 0.731125 1 0.736313 1 0.750902 1 0.760929 1 0.770955 1 0.780982 1 0.791009 1 0.801036 1 0.811063 1 0.822500 1 0.829687 1 0.838938 1 0.849688 1 0.860875 1 0.866000 1 0.872437 1 0.877500 1 0.884125 1 0.895813 1 0.907313 1 0.918750 1 0.929812 1 0.940750 1 0.952125 1 0.963250 1 0.971687 1 0.981752 1 0.991817 1 1.001882 1 1.011947 1 1.022011 1 1.032076 1 1.042141 1 1.052206 1 1.062271 1 1.072335 1 1.082400 1 1.092465 1 1.102530 1 1.112594 1 1.122659 1 1.132724 1 1.142789 1 1.152854 1 1.162918 1 1.172983 1 1.183048 1 1.193113 1 1.203178 1 1.213242 1 1.223307 1 1.233372 1 1.243437 1 1.253502 1 1.263566 1 1.273631 1 1.283696 1 1.293761 1 1.303825 1 1.313890 1 1.323955 1 1.334020 1 1.344085 1 1.354149 1 1.364214 1 1.374279 1 1.384344 1 1.394409 1 1.404473 1 1.414538 1 1.424603 1 1.434668 1 1.444733 1 1.454797 1 1.464862 1 1.474927 1 1.484992 1 1.495056 1 1.505121 1 1.515186 1 1.525251 1 1.535316 1 1.545380 1 1.555445 1 1.565510 1 1.575575 1 1.585639 1 1.595704 1 1.605769 1 1.615834 1 1.625899 1 1.635963 1 1.646028 1 1.656093 1 1.666158 1 1.676223 1 1.686287 1 1.696352 1 1.706417 1 1.716482 1 1.726547 1 1.736611 1 1.746676 1 1.756741 1 1.766806 1 1.776870 1 1.786935 1 1.797000 1