EST_File Track DataType ascii NumFrames 176 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010132 1 0.020264 1 0.030397 1 0.040529 1 0.050661 1 0.060793 1 0.070925 1 0.081058 1 0.091190 1 0.101322 1 0.111454 1 0.121587 1 0.131719 1 0.141851 1 0.151983 1 0.162115 1 0.172248 1 0.182380 1 0.192512 1 0.202644 1 0.212776 1 0.222909 1 0.233041 1 0.243173 1 0.253305 1 0.263438 1 0.270562 1 0.283062 1 0.289438 1 0.299688 1 0.310625 1 0.321813 1 0.333250 1 0.344750 1 0.356125 1 0.367312 1 0.379312 1 0.388625 1 0.398830 1 0.409036 1 0.419241 1 0.429446 1 0.439652 1 0.449857 1 0.460063 1 0.473563 1 0.482000 1 0.494625 1 0.503187 1 0.513188 1 0.523500 1 0.534063 1 0.544625 1 0.555438 1 0.566312 1 0.577313 1 0.588437 1 0.599563 1 0.610750 1 0.622000 1 0.633250 1 0.644500 1 0.655813 1 0.660937 1 0.667313 1 0.672375 1 0.678813 1 0.690250 1 0.701437 1 0.712625 1 0.723688 1 0.734750 1 0.745875 1 0.757000 1 0.768125 1 0.779437 1 0.790688 1 0.801938 1 0.813688 1 0.825188 1 0.836417 1 0.847646 1 0.858875 1 0.870104 1 0.881333 1 0.892563 1 0.900750 1 0.907875 1 0.915875 1 0.924438 1 0.933187 1 0.943750 1 0.954813 1 0.966125 1 0.971187 1 0.977500 1 0.988937 1 1.000375 1 1.011812 1 1.023000 1 1.034000 1 1.044875 1 1.055875 1 1.067812 1 1.079188 1 1.091187 1 1.102500 1 1.112565 1 1.122630 1 1.132696 1 1.142761 1 1.152826 1 1.162891 1 1.172956 1 1.183022 1 1.193087 1 1.203152 1 1.213217 1 1.223283 1 1.233348 1 1.243413 1 1.253478 1 1.263543 1 1.273609 1 1.283674 1 1.293739 1 1.303804 1 1.313870 1 1.323935 1 1.334000 1 1.344065 1 1.354130 1 1.364196 1 1.374261 1 1.384326 1 1.394391 1 1.404456 1 1.414522 1 1.424587 1 1.434652 1 1.444717 1 1.454783 1 1.464848 1 1.474913 1 1.484978 1 1.495044 1 1.505109 1 1.515174 1 1.525239 1 1.535304 1 1.545370 1 1.555435 1 1.565500 1 1.575565 1 1.585630 1 1.595696 1 1.605761 1 1.615826 1 1.625891 1 1.635957 1 1.646022 1 1.656087 1 1.666152 1 1.676217 1 1.686283 1 1.696348 1 1.706413 1 1.716478 1 1.726544 1 1.736609 1 1.746674 1 1.756739 1 1.766804 1 1.776870 1 1.786935 1 1.797000 1