EST_File Track DataType ascii NumFrames 176 NumChannels 0 NumAuxChannels 0 EqualSpace 0 BreaksPresent true EST_Header_End 0.010103 1 0.020206 1 0.030308 1 0.040411 1 0.050514 1 0.060617 1 0.070720 1 0.080823 1 0.090925 1 0.101028 1 0.111131 1 0.121234 1 0.131337 1 0.141440 1 0.151542 1 0.161645 1 0.171748 1 0.181851 1 0.191954 1 0.202056 1 0.212159 1 0.222262 1 0.232365 1 0.242468 1 0.252571 1 0.262673 1 0.272776 1 0.282879 1 0.292982 1 0.303085 1 0.313188 1 0.322000 1 0.335625 1 0.344813 1 0.354000 1 0.362938 1 0.372750 1 0.383000 1 0.393750 1 0.404687 1 0.415750 1 0.426750 1 0.437937 1 0.449125 1 0.460312 1 0.471625 1 0.482938 1 0.493920 1 0.504902 1 0.515884 1 0.526866 1 0.537848 1 0.548830 1 0.559812 1 0.567500 1 0.574375 1 0.583875 1 0.592062 1 0.601625 1 0.611938 1 0.622688 1 0.633750 1 0.645000 1 0.656062 1 0.667063 1 0.678250 1 0.689438 1 0.700562 1 0.711625 1 0.722812 1 0.734375 1 0.745188 1 0.756219 1 0.767250 1 0.777346 1 0.787441 1 0.797537 1 0.807632 1 0.817728 1 0.827824 1 0.837919 1 0.848015 1 0.858110 1 0.868206 1 0.878302 1 0.888397 1 0.898493 1 0.908588 1 0.918684 1 0.928779 1 0.938875 1 0.948971 1 0.959066 1 0.969162 1 0.979257 1 0.989353 1 0.999449 1 1.009544 1 1.019640 1 1.029735 1 1.039831 1 1.049927 1 1.060022 1 1.070118 1 1.080213 1 1.090309 1 1.100404 1 1.110500 1 1.120596 1 1.130691 1 1.140787 1 1.150882 1 1.160978 1 1.171074 1 1.181169 1 1.191265 1 1.201360 1 1.211456 1 1.221552 1 1.231647 1 1.241743 1 1.251838 1 1.261934 1 1.272029 1 1.282125 1 1.292221 1 1.302316 1 1.312412 1 1.322507 1 1.332603 1 1.342699 1 1.352794 1 1.362890 1 1.372985 1 1.383081 1 1.393177 1 1.403272 1 1.413368 1 1.423463 1 1.433559 1 1.443654 1 1.453750 1 1.463846 1 1.473941 1 1.484037 1 1.494132 1 1.504228 1 1.514324 1 1.524419 1 1.534515 1 1.544610 1 1.554706 1 1.564801 1 1.574897 1 1.584993 1 1.595088 1 1.605184 1 1.615279 1 1.625375 1 1.635471 1 1.645566 1 1.655662 1 1.665757 1 1.675853 1 1.685949 1 1.696044 1 1.706140 1 1.716235 1 1.726331 1 1.736426 1 1.746522 1 1.756618 1 1.766713 1 1.776809 1 1.786904 1 1.797000 1